首页 > 编程知识 正文

D触发器电路图(VHDL——D触发器)

时间:2023-05-06 10:34:30 阅读:121959 作者:3310

1 .端口

2.VHDL语言

2.1引入信号:

library ieee; use ieee.std_logic_1164.all; 实体dff f1 isport (clk,d:in std_logic; q:out std_logic; end dff1; architecturebehaviorofdfff1issignalq 1: STD _ logic; -引入内部节点信号beginprocess(clk )--检查工艺语句和敏感信号begin if clk ' event and clk='1' then-- ) clk的上升沿q1=d; 结束If; q=q1; 结束流程; 结尾贝赫; 2.2不引入信号:

architecturebehaviorofdff1isbeginprocess (clk )--流程语句和敏感信号begin if rising _ edge (clk ) then q=d; --rising_edge必须是非常严格的启动,从0到1 end if; 结束流程; 结尾贝赫;

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。