首页 > 编程知识 正文

verilog8分频器代码(FPGA分频器设计总结)

时间:2023-05-04 02:32:22 阅读:123261 作者:2302

FGA分频器的设计总结了1偶分频1.1例2奇分频2.0例2.1非50%占空比的分频2.2 50%占空比的分频2.3带任意整数小数分频2.4任意分频器的例子

在FGA执行另外的电路设计的情况下,经常需要不同频率的时钟,但是通常使用PLL或DCM来实现。 当然,这保证了PLL以后的时钟定时变好,并且能够生成用于时钟复位的lock信号。 但是如果不要求时钟性能,我们也可以自己设计简单的分频电路。 这样,消耗的资源也少,可以实现所需的时钟。

分频器的设计可以分为偶数分频和奇分频两种。

偶数分频偶数分频器的实现非常简单,可以通过计数器计数充分实现。 如果偶数分频乘以n倍,则可以用分频的时钟对计数器进行计数。 计数器从0计数到N/2-1时,输出时钟反转,向计数器提供复位信号,使下一个时钟从0开始计数。 在这个循环中,可以实现任意偶数分频。

regclk_div10; reg [2:0]cnt; always@(p

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。