首页 > 编程知识 正文

多路选择器和数据选择器,二选一数据选择器原理

时间:2023-05-05 09:54:42 阅读:154329 作者:3663

八选择需要选择器。 使用CASE语句。

库IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux_8_1 IS

port(a,b,c,d,e,f,g,h,En:IN STD_LOGIC;

s : instd _ logic _ vector (2down to0);

Y: out STD_LOGIC;

end mux_8_1;

ARCHITECTURE mux OF mux_8_1 IS

比根

process(s,a,b,c,d,e,f,g,h,En ) )

比根

if En='0' then

case S is

when '000'=Y=A;

when '001'=Y=B;

when '010'=Y=C;

when '011'=Y=D;

when '100'=Y=E;

when '101'=Y=F;

when '110'=Y=G;

when '111'=Y=H;

结束案例;

end if;

结束进程;

end mux;

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。