首页 > 编程知识 正文

偶校验编码设计实验报告,奇偶校验器真值表

时间:2023-05-03 18:44:10 阅读:154504 作者:16

4位奇偶校验设计的

主题:基于可编程逻辑器件的4位奇偶校验设计

健忘的心情:

学生姓名:

所属系:

专业领域:

类:

学号:

完成日期:

本科生课程设计担当书

类:名称:

设计主题:基于可编程逻辑器件的4位奇偶校验设计

完成内容:1.设计奇偶逻辑电路,如果4位中有奇数个1,则输出结果为1; 否则就是0。

2 .写出该电路的真值表。

3 .用逻辑门电路或可编程逻辑阵列PLA实现。

4 .绘制详细电路图。

5 .写详细的原理说明。

指导老师:

教室长:

一.概要

奇偶校验是一种名誉编码校验,在存储器中以存储单元为单位进行,由于依赖硬件实现,所以及时性高,但用该校验方法只能发现奇数个错误,在数据中发生偶数个错误的情况下,由于不影响码的奇偶校验性质,所以找不到奇偶校验是一种验证代码传输正确性的方法。 根据传输的二进制码集中“1”的个数是奇数还是偶数来检查。 采用奇数的叫奇检查,反之叫偶检查。 采用什么样的检查是事先决定好的。 通常,只设置一个奇偶校验位,该组中的“1”的数目是奇数或偶数。 如果使用奇数校验,当接收方收到该组代码时,它会检查“1”的数量是否为奇数,以确认传输代码的正确性。

二.撰写详细原理说明

奇偶校验法是一种检查数据传输正确性的方法。 表示要传输的数据“1”的个数是奇数还是偶数,如果是奇数,则检查位置为“”,否则为“”。 例如,因为必须转移“1101”并且数据包含“1”,所以奇偶校验位必须是“”并且必须转移“1111”,其中数据包含“1''0”。

四.使用逻辑门电路或可编程逻辑阵列PLA实现

五.绘制详细电路图

4位中有奇数个1时的电路图(输出结果为1,点亮) :

4位中有偶数个1时的电路图(输出结果0,熄灭) :

六.总结和体会

为了系统的可靠性,对于位数少且电路简单的APP应用可以采用奇偶校验的方法。 奇偶校验通过将奇偶校验位的逻辑取值增加1比特,在源端使原始数据代码的1比特为奇数,在宿端检查在使用该代码时每个奇偶校验位的1比特是否为奇数,进而判断是否进行操作。 奇偶校验只能检查1位错误,没有纠正错误的能力。 偶校验的原理与奇校验相同,只是使奇偶校验位与原始数据代码的一位一起为偶。 奇偶校验器通常被设计为9位二进制数以适应1字节、ASCII码的APP应用要求。 通过这次的课程设计,我明白了理论和实际的结合很重要。 光有理论知识是不够的。 只有把学到的理论知识和实践结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

2

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。