首页 > 编程知识 正文

半加器和全加器的逻辑功能,用半加器设计全加器

时间:2023-05-03 23:36:50 阅读:156968 作者:4938

半加器和全加器的实现声明:本文引用了微信公众号“摸鱼范式”的一部分。

1 .半加法器半加法器和全加法器的区别在于是否有进位输入端,半加法器为两个1位加法,而全加法器为三个1位加法,输出结果和进位信号可以直观理解。

半加成的真值表如下图所示

那个逻辑公式是

根据逻辑表达式,可以使用以下门电路来实现

2 .全加器全加器真值表如下:

逻辑公式如下。

门电路的结构如下

3 .以行波进位加法器4bit的行波进位加法器为例,需要如下图所示通过4个1bit加法器实现。 在进行加法运算时,首先,准备第1个全加法器的3个input。 另一方面,第2、3、4号全加法器的Cin都来自前一个全加法器的Cout,在第1个全加法器的运算结束之前,第2、3、4号全加法器依次进行进位运算,最终无法得到结果。 这样进位输出就像波一样,从下位向上依次传递,是最终产生结果的加法器,因此也称为行波进位加法器(Ripple-Carry Adder,RCA )。

打开全加器内部,你会发现关键路上有9扇门,门的延迟非常高

4 .超前进位加法器可将所有加法器的进位信号转换为由g和p以及本级进位输入组成的逻辑,以改善行波仅为加法器的延迟问题。 如下图所示

将四个加法器串联起来可以获得迭代

可以看出,由于各段的进位不依赖于上位段的进位,所以不需要等待前面的加法器完成计算,就可以先行获得进位结果。 另外,如果要实现大位宽度的加法器,逻辑显然会变得更加复杂。

通过进行进位的方法,实现4位全加法器的原理图如下

单位全加法器的输出变更为输出p、g、s,各级进位通过以下逻辑得到。 因此,最终推迟四个门。 比较行波进位加法器(RCA )和进位加法器(CLA )

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。