首页 > 编程知识 正文

verdiPerStat,五大工具

时间:2023-05-05 14:15:45 阅读:169067 作者:123

debussy(Verdi以前的调试工具) )。

http://www.Sina.com/:要在Verdi中观察波形,需要在模拟时生成fsdb文件,但是fsdb在vcs或modelsim中的生成需要两个系统调用$ fsdb dump file $ 这两个系统调用在Verilog中没有规定,是Verdi以可编程语言接口(pli )方式实现的。 这需要在vcs编译时找到相应的库。

例如:

波形文件有以下类型:

verdi

使用verdi之前应该设置的环境变量(

$ verdi _ home/novas _ home (准备设置$PATH ) $PATH )允许搜索verdi ) $LD_LIBRARY_PATH )系统linux是Verdi所需的库

echo(sed ) 3:查询环境变量,例如PATHwhich查询当前软件是否配置成功uname:查询当前系统信息,例如硬件平台echo $ path | n/g ) /全局使用(3360替代3360/ n/g’获取硬件平台信息:硬件平台64位x86 _ 64/amd64,64 bit

(1)使用verilog系统函数

initial begin $ fsdb dump file (' top _ TB.fsdb ); $fsdbdumpvars(0,' tob_tb ' ); end(2)使用Ucli/Tcl接口(产生fsdb波形) )。

globalenvfsdbdumpfile ' $ env (demo _ FIFO ).fsdb ' fsdbdumpvars0' top _ TB ' run synopsys:vcsverdicadence:irunverunverdinverderdimatince

启用com : VCS-full 64- s verilog- debug _ PP# ucli命令-LDFLAGS #将参数传递给VCS的linker,然后将-rdynamic 指示将#与以下三行组合使用以加载的动态库,例如libs score _ VCS 201209.so-p $ { Verdi _ home }/share/pli/VCS/$ { platform } #加载表文件${Verdi_} #加载静态库- f ./$ { demo _ name }/TB _ top.fvcsdtdqdwait- l compile.logs im 3360 -ucli-i./scripttti # ucli的输入文件(-I )是tcl脚本fsdbautoflush(#命令行参数autoflush ),用于在模拟的同时对波形进行取整。 如果没有这个参数,波形就不会dump。 dump波形-Lsim.logdebug:Verdi-SV 要支持#SV-f./${demo_name}/TB_top.f_,必须在ucli命令run 100ns后输入fsdbDumpflush指定设计顶部- no lop 关闭欢迎界面的incdir xx配置include文件目录//libext .v配置库文件后缀//-v配置设计可搜索文件//-y配置设计可搜索目录global env # 在tcl脚本引用环境变量Makefile中,在export中定义fsdbdumpfile'$env(demo_name ).fsdb' #以设置波形文件名,并由环境变量env(demo_name )控制使用export demo _ name=demo _ fifofsdbdumpvars0' TB _ top ' #设置波形的最高级别和层次,以表示temo_FIFO

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。