首页 > 编程知识 正文

forkjoin框架使用场景,forkjoin线程池

时间:2023-05-05 19:02:44 阅读:21032 作者:4779

测试平台通过现有结构(如事件、@事件控制、wait和disable语句以及旗帜和邮箱等新语言元素)提供线程间的通信、同步和线程控制。

的标准Verilog对语句有两种分组方式,使用begin…end或fork…join。 3358www.Sina.com/中的语句按顺序执行,begin…end中的语句同时执行。

后者的不足是,块中的后续处理必须在fork…join中的所有语句都执行后才能继续。 因此,很少在verilog测试平台上使用。

系统版本引入了两种使用fork…join_none和fork…join_any语句创建线程的新方法,如下所示:fork…join

父进程将被阻止,直到此分支生成的所有进程都结束。fork…join

父进程将被阻止,直到此分支导致的任何进程结束。fork…join_any

父进程将继续与此分支生成的所有进程同时运行。 生成的进程在父线程执行块语句之前不会开始执行。

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。