首页 > 编程知识 正文

大疆校招题,大疆创新科技有限公司校招好进吗

时间:2023-05-04 08:00:39 阅读:232659 作者:2231

写在最前面
答案只是自己查阅资料后所写,不一定正确,欢迎大家留言讨论

文章目录 单选题 (60分,5分/题)1. 下列关于stuck-at故障模型描述错误的一个是( )2. 下列关于芯片中电迁移的描述错误的一个是( )3. 下列会直接影响到芯片工作频率的一个违例是( )4. 从虚拟的中心采样定理得出,要使实信号采样后能够不失真还原,采样频率f与信号最高频率fs的关系是( )5. 以下是对Cache-主存-辅存三级存储系统中各级存储器的作用,速度,容量的描述,其中完成正确的是( )6. 关于linux命令,下面说法错误的是( )7. 关于综合工具,以下说法正确的是( )8. 运行以下程序输出的值是( )9. DUT中有一个寄存器“DJI_ID_HEAD”,该DUT通过APB总线端口与SoC互联,“DJI_ID_HEAD”寄存器的描述如下:请问在DUT的APB总线端口上能看到多少笔有效的数据传输?( )10. systemverilog语句,已知:int arr[2][ ][ ];arr[0] = new[4];以下哪个操作是合法的: ( )11. 假设如下:以下代码可以正确打印出a值的选项是( )12. 数字逻辑在掉电后输出信号一般采用钳位控制(isolation)的原因是( ) 多选题 (40分,5分/题)1. 下列属于DFT故障模型的是: ( )2. 下列属于芯片中时钟树综合质量评价指标的有: ( )3. 下列属于降低芯片静态压降的方法有: ( )4. 以下哪些手段可以降低SRAM的动态功耗( )5. 关于异步处理,以下说法正确的是( )6. Verilog语言中,下列哪些语句不可以被综合: ( )7. 下列说法正确的是( )8. 以下哪一种门电路属于通用逻辑门(可以组合搭建出任何逻辑电路)( ) 答案:参考链接:

单选题 (60分,5分/题) 1. 下列关于stuck-at故障模型描述错误的一个是( ) A. 组合逻辑上的Fault点可以做故障合并 B. 用于覆盖内部得时序故障 C. 正常Capture阶段只有1个Pulse D. 对于Full-scan设计可以达成很高得故障覆盖率 2. 下列关于芯片中电迁移的描述错误的一个是( ) A. 用电迁移后降低芯片寿命 B. 电迁移会使芯片性能退化 C. 增加导线宽度可以修复电迁移违例 D. 增加导线间距可以修复电迁移违例 3. 下列会直接影响到芯片工作频率的一个违例是( ) A. 建立时间违例 B. 保持间距违例 C. 最大转换时间违例 D. 最大扇出违例 4. 从虚拟的中心采样定理得出,要使实信号采样后能够不失真还原,采样频率f与信号最高频率fs的关系是( ) A. f大于等于2fs B. f小于等于2fs C. f大于等于fs D. f小于等于fs 5. 以下是对Cache-主存-辅存三级存储系统中各级存储器的作用,速度,容量的描述,其中完成正确的是( ) A. 主存用于存放CPU正在执行的程序,速度慢,容量极大 B. Cache用于存放CPU当前访问频繁的程序和数据,速度快,容量小 C. 辅存用于存放需要联机保存但暂不执行的程序和数据,速度快,容量极大 D. 加大Cache的容量可以使主存能够存放更多的程序和数据 6. 关于linux命令,下面说法错误的是( ) A. find .-name “*.txt” 命令会列出当前目录及子目录下所有以.txt结尾的文件 B. mv master.map master1.map 命令的作用是把master.map文件复制成master1.map C. 要解压缩一个名字为test.tar.gz的文件可以使用命令tar -xzvf test.tar.gz D. linux命令行中输入cmd1 && cmd2(其中cmd1及cmd2是两个命令),如果cmd1执行失败了,cmd2就不会执行 7. 关于综合工具,以下说法正确的是( ) A. 一般为了综合效果,综合工具使用越新越好 B. 综合工具越来越强大,所以对于一些不可综合的逻辑也没有问题 C. 综合工具一般需要和Formal工具配套使用 D. 综合工具使用一个固定版本效果最好 8. 运行以下程序输出的值是( ) int addr = 32'ha;bit is_legal = 1;bit[33:0] info0;initial beginif(addr[0])is_legal = 0;elseis_legal = 1;info0 = ~is_legal;info0 = {info0,addr};info0 = {info0,0};$display{"0x%0h",info0};end A. 0x1_0000_0000 B. 0x2_0000_0000 C. 0x14 D. 0xa0 9. DUT中有一个寄存器“DJI_ID_HEAD”,该DUT通过APB总线端口与SoC互联,“DJI_ID_HEAD”寄存器的描述如下:请问在DUT的APB总线端口上能看到多少笔有效的数据传输?( ) Name:DJI_ID_HEADAddress Offset:0x0|---bits---|---Name---|---R/W---|---Reset Value---|| [31:16] | dji_id | RO | 0xA55A || [15:00] | data | RW | 0x0 |通过寄存器模型对“DJI_ID_HEAD”寄存器进行如下程序所示的读写访问:uvm_status_e status;uvm_reg_data_t rvalue;RGM.DJI_ID_HEAD.read(status,rvalue,UVM_FRONTDOOR);RGM.DJI_ID_HEAD.write(status,16'hFFAA,UVM_FRONTDOOR);RGM.DJI_ID_HEAD.data.get();RGM.DJI_ID_HEAD.data.set(16'hFFAA);RGM.DJI_ID_HEAD.update(status);RGM.DJI_ID_HEAD.reset();RGM.DJI_ID_HEAD.data.set(16'hFFAA);RGM.DJI_ID_HEAD.update(status);RGM.DJI_ID_HEAD.read(status,rvalue,UVM_FRONTDOOR);RGM.DJI_ID_HEAD.mirror(status); A. 6 B. 7 C. 8 D. 9 10. systemverilog语句,已知:int arr[2][ ][ ];arr[0] = new[4];以下哪个操作是合法的: ( ) A. arr[0][0] = new[2]; B. arr[1][0] = new[2]; C. arr[0][ ] = new[2]; D. arr[0][1][1] = new[2]; 11. 假设如下:以下代码可以正确打印出a值的选项是( ) class trans_ext extends trans_base;int a = 0;endclass; A. trans_ext tr_e1;trans_ext tr_e2;trans_base tr_b;tr_e1 = new();tr_b = tr_e1;$cast(tr_e2, tr_b);$display("a is %0d", tr_e2.a); B. trans_ext tr_e1;trans_ext tr_e2;trans_base tr_b;tr_e1 = new();tr_b = tr_e1;$cast(tr_b, tr_e2);$display("a is %0d", tr_e2.a); C. trans_ext tr_e1;trans_ext tr_e2;trans_base tr_b;tr_e1 = new();tr_b = tr_e1;$cast(tr_e2, tr_e1);$display("a is %0d", tr_e2.a); D. trans_ext tr_e1;trans_ext tr_e2;trans_base tr_b;tr_e1 = new();tr_b = tr_e1;$cast(tr_e1, tr_e2);$display("a is %0d", tr_e2.a); 12. 数字逻辑在掉电后输出信号一般采用钳位控制(isolation)的原因是( ) A. 保证下级电路输入稳定 B. 提高性能 C. 降低功耗 D. 减少延迟 多选题 (40分,5分/题) 1. 下列属于DFT故障模型的是: ( ) A. stuck-at B. transition-delay C. brige D. IDDQ 2. 下列属于芯片中时钟树综合质量评价指标的有: ( ) A. 时钟网络延时 B. 时钟信号偏差 C. 时钟周期 D. 时钟树功耗 3. 下列属于降低芯片静态压降的方法有: ( ) A. 增大电源网络密度 B. 减小封装电感 C. 增加芯片内电容 D. 降低工作频率 4. 以下哪些手段可以降低SRAM的动态功耗( ) A. 不访问SRAM时关闭时钟 B. 不访问SRAM时地址线不翻转 C. 不访问SRAM时写数据线不翻转 D. 不访问SRAM时,将其Power down 5. 关于异步处理,以下说法正确的是( ) A. 静态配置信号可以不做异步处理 B. 异步处理需要考虑发送和接收时钟之间的频率关系 C. 异步FIFO采用格雷码的原因是为了提高电路速度 D. 单比特信号打两拍后可以避免亚稳态的产生 6. Verilog语言中,下列哪些语句不可以被综合: ( ) A. #Delay语句 B. initial语句块 C. always语句块 D. 用generate语句产生的代码 7. 下列说法正确的是( ) A. Verilog语言的赋值方式有两种,阻塞赋值(=)和非阻塞赋值(<=) B. 任何在组合逻辑中使用非阻塞赋值,或者在时序逻辑中使用阻塞赋值的写法都是有悖于硬件实现的 C. 当设计中需要双向总线,选择输出或异步设计的时候设计人员往往需要借助三态门来实现 D. 定义变量时声明reg,一定可以综合成Register 8. 以下哪一种门电路属于通用逻辑门(可以组合搭建出任何逻辑电路)( ) A. AND B. NAND C. OR D. NOR E. XOR

 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

答案: 单选题:1——5 BD 多选题:8.BD

单选题解析:

解析:(DFT方面):A、D感觉没问题,C没读懂它想表达个啥,B的话,时序故障我觉得需要加一个激励才能测试,固定型故障直接覆盖内部就得时序故障我觉得不对;解析:(EMI方面): A、B、C都正确,增加连线宽度,能降低电迁移效应;D错误;

多选题解析:

解析:解析:解析:解析:解析:解析:解析:解析:其他门都能写成与非门(NAND)或者或非门(NOR)的形式,而与非门(NAND)或者或非门(NOR)都可以设计成非门(NOT)。所以非门不用考虑。由德摩根定律,得: 德摩根定律: (AB)' = A' + B' (A + B)' = A'B'

AND(与门): Y = AB = ((AB)’)’ = (A’+B’)’
NAND(与非门): Y = (AB)’ = A’+B’
OR(或门): Y = A+B = ((A+B)’)’ = (A’B’)’
NOR(或非门): Y = (A+B)’ = A’B’
XOR(异或门): Y = AB’+A’B = (( AB’+A’B)’)’ = ((AB’)’(A’B)’)’

参考链接:

单选题:

DFT基础(故障模型) | 故障模型电迁移效应

其他:
2020紫光展锐数字IC笔试题

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。