首页 > 编程知识 正文

VHDL语言学习笔记二 4位向量加法器,视听语言学习笔记

时间:2023-05-05 11:38:18 阅读:275483 作者:598

目的:使用VHDL文本输入法设计并实现一个4位向量加法器。

 程序

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity add_4 isport(Ai,Bi:in std_logic_vector(3 downto 0);Ci:in std_logic;So:out std_logic_vector(3 downto 0);--SO全加和输出,Co进位输出Co:out std_logic);end add_4;architecture behave of add_4 issignal C:std_logic_vector(4 downto 0);--中间信号变量beginprocess(Ai,Bi)beginC(0) <= Ci;for n in 0 to 3 loopSo(n) <= Ai(n) xor Bi(n) xor C(n);C(n+1) <= (Ai(n) and Bi(n)) or ((Ai(n) xor Bi(n)) and C(n));end loop;Co <= C(4);end process;end behave;

仿真图

 

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。