首页 > 编程知识 正文

zynq7010 矿机控制板,矿机zynq开发板好用吗

时间:2023-05-03 22:12:20 阅读:285622 作者:136

目录

 

前言

1、外设

1.1电源

1.2 程序下载口

1.3 SOC内部

1.4 FPGA点亮LED​


前言

在网上淘了一块ZYNQ的板子,学习了解一下。欢迎交流分享。

这块板子,似乎是矿机上拆下来的。

 

1、外设

根据原理图,先看看这个板子有哪些外设吧。

芯片型号ZYNQZYNQ-7000,xc7z010clg400-1FlashW29N01HVSINADDR3EM6GD16EWKG-12H

 

1.1电源

 

板卡供电电路

       由原理图可知,外部电源从J4模块输入,然后生成IN,再由IN送入不同的电路,分别产生不同的电源电压VCCP/VCC-DDR/VCC等。

       给这个板子供电的时候,只需对J4提供5V电源电压即可。可以采用充电宝给这个板子供电。

1.2 程序下载口 程序烧录口

由原理图可知,J8接口是程序烧录口,其中一排是串联接地的。在板卡上焊上排针,用来与下载器连接。

为了区分下载口的哪一排是地线,可以使用万用表的蜂鸣器档对排针进行测试。

Xilinx 下载器中带缺口的那一侧是接地口,也即连接线上有防呆突出测是接地排针。如下图所示。

如果板卡与下载器正确连接的话,下载器上的指示灯是绿色发亮的。否则,就是下载线接口接反了。

1.3 SOC内部

1.4 FPGA点亮LED


​这个操作没有什么难度,只是走走流程。

在Verilog文件写好后,需要进行管脚绑定。

将FPGA芯片管脚W13/W14与端口信号light_led1/light_led2进行绑定。

set_property PACKAGE_PIN W13 [get_port light_led1]set_property PACKAGE_PIN W14 [get_port light_led2] 然后,需要约束电平标准。约束到3.3V。 set_property IOSTANDARD LVCMOS33 [get_ports light_led1]set_property IOSTANDARD LVCMOS33 [get_ports light_led2]

之后,就可以烧录FPGA程序,点亮LED灯了。

 

 

 

 

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。