首页 > 编程知识 正文

十进制加减法计数器vhdl语言,五位二进制加法计数器

时间:2023-05-03 19:30:21 阅读:154595 作者:738

1.VHDL语言

库IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 实体CNT4isport (clk : instd _ logic; q : buffer STD _ logic _ vector (3down to0); end cnt4; architecturebehaveofcnt4isbeginprocess (clk ) begini fclk ' eventandclk='1' thenifq=15 thenq=' 0000 '; else q=q 1; end if; end if; 结束进程; end behave; 2 .注意

2.1 .使用整数型Integer时,请参阅必须定义整数取值范围

2.2 .加减算术码的适用范围—— 整数

2.3 .整数和比特的表达方式: 15; “一”; 1011

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。