首页 > 编程知识 正文

FPGA中的rom,微机原理与接口技术实验报告

时间:2023-05-06 05:15:21 阅读:169584 作者:4733

1实验目的:

)掌握FPGA中lROM的设置,作为只读存储器ROM的工作特性和配置方法;

)学习用文本编辑器编辑mif文件并放置ROM,然后用mif格式的文件加载到ROM中;

)3)在初始化内存编辑窗口中编辑mif文件并放置ROM;

)4)验证FPGA的ROM的功能。

2实验内容:

实验中主要掌握三个方面的内容: 1、ROM的参数设置; 2、向ROM数据写入,即初始化文件的制作; 3、ROM的实际应用,实验台调试方法。

3实验步骤:

请参阅《程序存储器数据存储器参考资料》的ROM设计流程。 将样品工艺文件(图7 )下载到实验台的FPGA上,实验台模式为0,24位数据输出由数字8显示为数字3,6位地址用键2、键1输入,键1负责低位4位,地址译码器每个上升沿锁存地址,数码管8/7/6/5/4/3上显示FPGA的发光管6~1显示输入的6位地址值。

4实验任务:

通过图形编辑设计ROM,在实验前认真复习ROM存储器部分的相关内容。

1、必须通过编目设计ROM,地址总线宽度address[]和数据总线宽度q[]分别为6位和24位。 2、创建相应的工程文件,设置rom数据参数、rom配置文件的路径(ROM_A.mif ),设置系统ROM/RAM的读写权限,以便对FPGA的rom进行系统读写3、锁定输入输出端子。 4、完成全程编译。 5、将SOF文件下载至FPGA,改变lpm_ROM的地址a[5…0],施加读脉冲,实验台用数字码读取的数据是否与初始化数据(rom4.mif中的数据)一致6、打开QuartusII的系统存储模块中的读写工具,理解FPGA的ROM中的数据,通过系统进行写入。 )3)记录实验数据,撰写实验报告。

实验原理图:

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。