首页 > 编程知识 正文

fpga验证(在远程FPGA虚拟实验平台上验证七段译码器)

时间:2023-05-05 08:01:40 阅读:122092 作者:2140

在远程FPGA虚拟实验平台上验证七级解码器VirtualBoard模块代码SevenSegDecode模块代码在远程FPGA实验平台上验证七级解码器的第一步:申请实验板的第二步: ffga

虚拟板模块代码` default _ nettypenonemodulevirtualboard (inputlogicclock,//10 mhzinputclockinputlogic [ 19:0 ] ) logical1whenpressedinputlogic [ 35:0 ] s,/36 switchesoutputlogic [ 3533600 ] l,//36 LEDs,驱动器逻辑1 tolightupoutppputogic /8commonanodeseven-segmentdisplayoutputlogic [ 7:0 ] sd6,output logic [7:0] SD5,output logic [7:0] SD4, output logic [7:0] SD2,output sevensegdecodessd _ inst (.idata (s [ 11:8 ], OSEG ) SD1 ); assign L[7:0]=SD1; endmodule SevenSegDecode模块代码` default _ nettypenonemodulesevensegdecode (输入逻辑[ 3:0 ] idata,输出逻辑[ 73330 ] //04' b 0001: oseg=8' b 11111001; //14' b 0010: oseg=8' b 10100100; //24' b 0011: oseg=8' b 10110000; //34' b 0100: oseg=8' b 10011001; //44' b 0101: oseg=8' b 10010010; //54' b 0110: oseg=8' b 10000010; //64' b 0111: oseg=8' b 11111000; //7 4'b1000:oSeg=8'b10000000; //84' b 1001: oseg=8' b 10010000; //94' b 1010: oseg=8' b 10001000; //a4 ' b 1011: oseg=8' b 10000011; //B4 ' b 1100: oseg=8' b 11000110; //C4 ' b 1101: oseg=8' b 10100001; //D4 ' b 1110: oseg=8' b 10000110; //E 4'b1111:oSeg=8'b10001110; //f default : oseg=8' b 11111111; 最终案例最终模块是在远程FPGA实验平台上验证七级解码器的第一步:申请实验板

点击开始实验按钮,申请实验板。 测试板申请成功后,“硬件设备获取成功。 请单击“FPGA编程”。 按钮的图标变为。

步骤2 (在加载FPGA电路并开始验证之前,将先编译生成的FPGA电路文件加载到FPGA芯片中(也称为配置、编程)。 单击“FPGA编程”,在打开的文件对话框中选择以前编译生成的xxx.rbf文件并开始编程时,将显示进度条,完成后显示“文件加载完成”

步骤3:通过操作虚拟面板打开不同的开关,将显示不同的数据。

第四步:点击实验完成按钮提出释放实验板资源。 然后根据需要提交文件。

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。