首页 > 编程知识 正文

超前进位并行加法器,超前进位加法器的工作原理

时间:2023-05-06 12:25:06 阅读:120620 作者:3081

文档介绍:

实验报告2018年5月5日成绩:名阳光男班专业计算机科学与技术课程名称《计算机组成原理与系统结构试验》 qjdlr幽默画笔老师小巧可乐幽默画笔老师机位号默认实验号2实验时间2018/5/5实验地点1教211实验设备号电脑outputF、Co; wireA,b,Ci,f,Co; 无线1、S2、S3; XorXu1(f、a、b、Ci )、xu2 (S1、a、b ); andau1(S2,a,b ),AU2 ) S3,S1,Ci ); orou1(co、S2、S3 ); endmodule2.进位电路块代码:modulechaoqian(c、g、p、Ci ); output[4:1]C; input[3:0]G,p; 输入Ci; wire[4:1]C; wire[3:0]G,p; assignC[1]=G[0]|(P[0]Ci; assignc[2]=g[1]|(p[1]g[0] )|)|(P[1]P[0]Ci ); assignc [3]=g [2]|p [2] g [1]|[ p [2] p [1] g [0]|[ p [2] p [1] p [0] ci ]; assignc [4]=g [3]|p [3] g [2]|[ p [3] p [2] g [1]|[ p [3] p [2] p [1] g [0]|[ p [3]。 endmodule3.超前进位加法器(顶层电路模块)代码:moduletop(A ) a、b、Ci、f、Co ); input[3:0]A,b; 输入Ci; output[3:0]F; output[4:1]Co; wire[3:0]A、b、f、g、p; 无线客户端; wire[4:1]Co; andau0(g[0]、A[0]、B[0]、au1 ) g[1]、A[1]、B[2]、au2 ) g[2]、A[2]、B[2]、au3 ) 曹钱m (co、g、p、Ci ); first_MFA0(a[0],B[0],Ci,F[0],fa1 ) a[1],B[1],Co[1],F[1] ),fa2 ) a[2],b [ ene //Inputs reg[3:0]A; reg[3:0]B; regCi; //Outputs wire[3:0]F; wire[4:1]Co; //instantiatetheunitundertest (UUT ) topuut ).a(a )、 b )、 ci ),

内容请注明转载来源于淘金www.taodocs.com。

版权声明:该文观点仅代表作者本人。处理文章:请发送邮件至 三1五14八八95#扣扣.com 举报,一经查实,本站将立刻删除。